博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:9.1.5 子类继承父类的callback 机制
阅读量:4221 次
发布时间:2019-05-26

本文共 347 字,大约阅读时间需要 1 分钟。

1.假如一个公司有前后两代产品,新的验证平台与旧的大部分一致,只是要扩展my_driver,即需要从my_driver 中派生一个新的类new_driver。还要保证第一代测试用例在尽量不改动的前提下在新的通过。

1)由于第一个callback 在声明的时候指明了这个池子只能用于my_driver,指明才能让new_driver用呢?

2.这要子类继承父类的callback。new_driver:

1)使用了uvm_set_super_type 宏,把子类和父类关联在一起。第一个是子类,第二个是父类。

2)在main_phase 中调用uvm_do_callbacks 宏时,第一个是my_driver,而不是new_driver。与在my_driver 中一样。

3.my_agent:

你可能感兴趣的文章
test-definitions/blob/master/auto-test/boost/boost.sh
查看>>
Java多态性理解
查看>>
Intellij Idea 工具在java文件中怎么避免 import .*包,以及import包顺序的问题
查看>>
IDEA Properties中文unicode转码问题
查看>>
Oracle中Blob转换成Clob
查看>>
Linux如何查看so中函数名
查看>>
自动管理代码的android.mk
查看>>
cocos2dx 2.2.6编译记录(1)
查看>>
makefile学习网站
查看>>
C 编写lua模块(1)
查看>>
Lua教程:Lua调用C/C++函数(4)
查看>>
win下创建win32控制台工程,执行lua脚本
查看>>
cocos2dx android启动错误
查看>>
eclipse: android rename package name
查看>>
cocos2dx c++调用java思想
查看>>
cocos2dx lua Node节点 私有数据存取
查看>>
lua math.ceil math.ceil
查看>>
cocos2dx CCNode计算node的大小
查看>>
cocos2dx 布局记录(1)
查看>>
lua 多行注释和取消多行注释
查看>>